討論串[問題] Verilog multi dimension arrays
共 5 篇文章
首頁
上一頁
1
下一頁
尾頁

推噓8(8推 0噓 22→)留言30則,0人參與, 5年前最新作者hardman1110 (笨小孩)時間13年前 (2010/09/26 23:43), 編輯資訊
1
0
0
內容預覽:
在一本verilog實務設計的書上有看到它支援多維陣列. 請問這是可以合成的嗎?. 我是否可以做以下宣告:. reg [1:0]c[0:1];. reg [1:0]a[0:1];. reg [1:0]b[0:1];//都是寬度、大小為2的陣列. 然後用for回圈assign. c[i]<=a[i]+
(還有52個字)

推噓4(4推 0噓 35→)留言39則,0人參與, 5年前最新作者sasako (模糊地讓我看不清)時間13年前 (2010/09/28 01:35), 編輯資訊
1
0
0
內容預覽:
最簡單的方法... addr只有0跟1,就不要用陣列宣告囉!. 嫌麻煩要改code. 那就. wire [1:0]a0 = a[0];//for debug. wire [1:0]b0 = b[0];//for debug. wire [1:0]c0 = c[0];//for debug. 反正到時

推噓0(0推 0噓 2→)留言2則,0人參與, 最新作者zxvc (眾生都是未來佛)時間13年前 (2010/09/29 20:07), 編輯資訊
0
1
2
內容預覽:
我成功用VCS dump memory/MDA成FSDB。. 這是測試檔(Verilog):. http://cid-87cef5e6683b5427.office.live.com/self.aspx/Share/t.v. 這是參考VCS compilation指令,詳情[1]:. vcs -fu
(還有540個字)

推噓0(0推 0噓 0→)留言0則,0人參與, 最新作者zxvc (眾生都是未來佛)時間13年前 (2010/10/01 06:46), 編輯資訊
1
0
1
內容預覽:
[恕刪]. 我知道C也可以參數化,. 但這會比Verilog/SystemVerilog的參數化方便嗎?. Verilog/SystemVerilog不需要這麼多的複製貼上。. 舉個例,如果有個top module,它instantiates多個submodules,. 如果這些submodules
(還有675個字)

推噓1(1推 0噓 3→)留言4則,0人參與, 最新作者sasako (模糊地讓我看不清)時間13年前 (2010/10/02 13:31), 編輯資訊
0
0
0
內容預覽:
或許我沒講得很清楚,針對這個主題debug array,. 假如要debug的話,我覺得會用我前幾篇講的方式,. 就是另外wire訊號出來看,使原本的array可以延續. 原本的參數模式.... 我並不是說不愛用parameter,好用的東西,對我這. 個懶人幫助最大.... 至於若是宣告的dept
(還有307個字)
首頁
上一頁
1
下一頁
尾頁