Re: [問題] Verilog multi dimension arrays

看板Electronics作者 (眾生都是未來佛)時間13年前 (2010/09/29 20:07), 編輯推噓0(002)
留言2則, 1人參與, 最新討論串3/5 (看更多)
我成功用VCS dump memory/MDA成FSDB。 這是測試檔(Verilog): http://cid-87cef5e6683b5427.office.live.com/self.aspx/Share/t.v 這是參考VCS compilation指令,詳情[1]: vcs -full64 +v2k +memcbk +vcsd -P \ /cad/spring_soft/verdi/cur/share/PLI/vcsd_latest/LINUX64/vcsd.tab \ /cad/spring_soft/verdi/cur/share/PLI/vcsd_latest/LINUX64/pli.a \ t.v 如果嫌指令太長,可以寫shell script。 這是Waveforms: http://pic.pimg.tw/zxvc/37a3bb4358bbee2dde161e38d3da8a4f.png
我是用$fsdbDumpMDAOnChange dump MEM/MDA。 其實我也試圖用NC-Verilog (IUS 8.2) + Verdi 2008.01 dump MEM/MDA, 但不知為何會有錯誤訊息,一直試不出來。 References: [1] Novas Linking Novas Files with Simulators to Enable FSDB Waveform Dumping, April 2006. -- 信佛的人要知道:佛絕不會說謊。但請把握時光。 法滅盡經: http://www.cbeta.org/result/normal/T12/0396_001.htm 共勉之。 -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 140.115.221.79

09/29 20:10, , 1F
希望有人有空能幫忙試試VCD+跟FSDB誰比較省硬碟空間XD
09/29 20:10, 1F
※ 編輯: zxvc 來自: 140.115.221.79 (09/29 20:12)

09/30 06:53, , 2F
另外如果不care硬碟空間的話,我記得VCD dump能dump MEM/MDA
09/30 06:53, 2F
文章代碼(AID): #1CeolqIe (Electronics)
文章代碼(AID): #1CeolqIe (Electronics)