Re: [問題] VHDL 程式問題!!

看板Electronics作者 (希望..........)時間16年前 (2007/12/19 23:16), 編輯推噓1(102)
留言3則, 2人參與, 最新討論串3/5 (看更多)
※ 引述《asdd (希望..........)》之銘言: : 小弟的部份程式: : cc:PROCESS(CTL,rxd_data) : BEGIN : if CTL='1' then : IO_ADDR<="0000000000000000" ; : IO_DATA<=rxd_data(7 downto 0) ; : else : IO_ADDR<="0000000100000000" ; : DBUS(7 downto 0)<=IO_DATA ; : seg(7 downto 0)<=DBUS(7 downto 0) ; : end if ; : END PROCESS; : 編譯環境使用xilinx ise 在編譯的時候synthesize 的時候 是可以編譯過的 : 可是在implement desing的時候 卻出現 : ERROR:MapLib:93 - Illegal LOC on IPAD symbol "CTL" or BUFGP symbol "CTL_BUFGP" : 的錯誤訊息!!不知道有沒有其他的大大遇過類似的問題......謝謝 我是不清楚為什麼CTL會產生BUFGP這個東西,可是該怎樣移除掉呢? http://gigi596.myweb.hinet.net/uuu.bmp 如圖 對於CTL我只是用來當作一般的IO PIN而已,IO PIN那邊是有出現CTL 可是在GLOBAL LOGIC 為什麼會出現那樣呢? 可是我嘗試著要移除它,可是都無法如意!! 請問該怎樣解決呢?謝謝 -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 140.133.13.130

12/20 00:04, , 1F
到 www.xilinx.com 搜尋 MapLib 93 也有一些建議可以試試
12/20 00:04, 1F

12/20 00:05, , 2F
BUFGP可能是synthesis tool加的,你是用那個synthesis too
12/20 00:05, 2F

12/20 00:07, , 3F
看過了 可是我看不懂他的作法
12/20 00:07, 3F
文章代碼(AID): #17QJN7Xs (Electronics)
討論串 (同標題文章)
文章代碼(AID): #17QJN7Xs (Electronics)