[問題] VHDL 程式問題!!

看板Electronics作者 (希望..........)時間16年前 (2007/12/18 23:51), 編輯推噓1(104)
留言5則, 2人參與, 最新討論串1/5 (看更多)
小弟的部份程式: cc:PROCESS(CTL,rxd_data) BEGIN if CTL='1' then IO_ADDR<="0000000000000000" ; IO_DATA<=rxd_data(7 downto 0) ; else IO_ADDR<="0000000100000000" ; DBUS(7 downto 0)<=IO_DATA ; seg(7 downto 0)<=DBUS(7 downto 0) ; end if ; END PROCESS; 編譯環境使用xilinx ise 在編譯的時候synthesize 的時候 是可以編譯過的 可是在implement desing的時候 卻出現 ERROR:MapLib:93 - Illegal LOC on IPAD symbol "CTL" or BUFGP symbol "CTL_BUFGP" 的錯誤訊息!!不知道有沒有其他的大大遇過類似的問題......謝謝 -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 140.133.13.130

12/19 00:11, , 1F
io_data 及io_addr 都是fpga跟arm溝通的管道!!
12/19 00:11, 1F

12/19 08:04, , 2F
你PIN腳是不是有設錯?LOC好像是...PIN腳那邊出現的名詞
12/19 08:04, 2F

12/19 08:05, , 3F
建議使用(others=>'0')
12/19 08:05, 3F

12/19 08:06, , 4F
如果都是8bit,建議rxd_data=rxd_data(7 downto 0)
12/19 08:06, 4F

12/19 08:08, , 5F
seg <= DBUS, 建議啦~沒說一定要改
12/19 08:08, 5F
文章代碼(AID): #17P-nwHG (Electronics)
討論串 (同標題文章)
文章代碼(AID): #17P-nwHG (Electronics)