作者查詢 / horsehead

總覽項目: 發文 | 留言 | 暱稱
作者 horsehead 在 PTT [ Electronics ] 看板的留言(推文), 共121則
限定看板:Electronics
[問題] deglitch的問題..
[ Electronics ]6 留言, 推噓總分: 0
作者: MaxHaru - 發表於 2013/05/02 16:37(11年前)
5Fhorsehead:電路還可以再化簡成 !a AND !b 這樣就Ok了05/05 23:40
[問題] verilog 語法問題
[ Electronics ]5 留言, 推噓總分: +4
作者: ttpman - 發表於 2013/01/16 20:40(11年前)
3Fhorsehead:一樓講得沒錯啊 功能上沒有差異 只有書寫時行數的差異01/17 18:56
Re: [心得] Verilog使用nonblocking assignment解ꠠ…
[ Electronics ]6 留言, 推噓總分: 0
作者: zxvc - 發表於 2010/08/24 21:41(13年前)
5Fhorsehead:這應該是因為他是RTL LEVEL 模擬的關係吧 不然正式設計08/24 23:11
6Fhorsehead:上應該不可能讓信號跟時脈同時轉變08/24 23:13
[問題] 用ISE去模擬波形出現錯誤問題
[ Electronics ]2 留言, 推噓總分: 0
作者: david21911 - 發表於 2010/06/06 22:23(14年前)
1Fhorsehead:沒有初始值06/07 01:00
[問題] 藉由負緣觸發做resource sharing 該如何做?
[ Electronics ]5 留言, 推噓總分: +1
作者: salaman - 發表於 2010/05/15 16:33(14年前)
4Fhorsehead:你的運算器如果是DFF則不可能 如果是latch應該有機會05/16 02:13
5Fhorsehead:但是還是要看你想要的行為模式05/16 02:13
Re: [問題]數位電路關於cross clock domain的問題?
[ Electronics ]8 留言, 推噓總分: +4
作者: horsehead - 發表於 2010/05/04 15:47(14年前)
1Fhorsehead:另外基本上非同步的東西在你做STA時會讓你分析起來很頭05/04 16:09
2Fhorsehead:痛 所以盡量能用越少clock domain 越好05/04 16:10
[問題] 我的verilog 除頻方法錯了??
[ Electronics ]7 留言, 推噓總分: +3
作者: mozzan - 發表於 2010/04/20 15:31(14年前)
4Fhorsehead:原頻率多快 可能是太慢了你還沒等到04/20 16:56
[問題] 想問一題關於CPU的問題
[ Electronics ]3 留言, 推噓總分: 0
作者: kevin8685 - 發表於 2010/04/17 11:37(14年前)
1Fhorsehead:翻一下計算機結構的書吧....04/17 11:49
[問題] Verilog
[ Electronics ]3 留言, 推噓總分: -2
作者: magician1 - 發表於 2010/03/20 01:53(14年前)
1Fhorsehead:噓你03/20 13:21
[問題] verilog與法問題
[ Electronics ]3 留言, 推噓總分: +1
作者: nopicnic - 發表於 2010/03/18 14:38(14年前)
1Fhorsehead:當然是第一種 A[0]只有一個位元不是0就是1怎麼表示2或303/18 16:10