[問題] 用ISE去模擬波形出現錯誤問題

看板Electronics作者 (小叮噹最可愛~)時間14年前 (2010/06/06 22:23), 編輯推噓0(002)
留言2則, 2人參與, 最新討論串1/1
就是 我現在用ISE去寫一個亂數計數 模擬輸出跑出來都是一條紅線(紅線上有個U) 我的課本好像也沒寫偵錯(FPGA/CPLD藍色的那本) 老師的投影片也沒說 自己搞不太懂問題在哪 請問大大們知道這是什麼原因嗎? -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 120.105.18.160 david21911:轉錄至看板 ask 06/06 22:49

06/07 01:00, , 1F
沒有初始值
06/07 01:00, 1F

06/07 03:51, , 2F
真的是耶 太謝謝你了!!!
06/07 03:51, 2F
文章代碼(AID): #1C2wzaJy (Electronics)