[問題] verilog語法問題

看板Electronics作者 (......)時間17年前 (2007/06/13 15:25), 編輯推噓5(501)
留言6則, 3人參與, 最新討論串3/7 (看更多)
我知道這應該是很基本的問題 不過我始終沒動力去翻開厚厚的課本查說明在哪裡 偷懶來這邊希望版眾指導一下.... always@(*) ..... .......... *是什麼意思.........? 是等同於所有 blocking assignment 等號右邊的通通or起來嗎.....? -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 140.112.244.88

06/13 15:36, , 1F
sensitive to all inputs才能保證full case
06/13 15:36, 1F

06/13 15:42, , 2F
就是有任何一個訊號有變~就做~~~~跟實際的ic電路一樣~
06/13 15:42, 2F

06/14 11:46, , 3F
bear正解
06/14 11:46, 3F

06/14 17:49, , 4F
樓上的...我真的忘了你是誰了...中正的?!
06/14 17:49, 4F

06/15 12:23, , 5F
樓上的我是髒包呀
06/15 12:23, 5F

06/16 01:16, , 6F
髒包?
06/16 01:16, 6F
文章代碼(AID): #16RvlvAl (Electronics)
文章代碼(AID): #16RvlvAl (Electronics)