[請益] FPGA(VHDL)實現雙輸入單輸出開關

看板Electronics作者 (神手的同學)時間12年前 (2011/12/13 16:18), 編輯推噓7(7024)
留言31則, 7人參與, 最新討論串1/2 (看更多)
大家好~ 小弟第一次接觸FPGA,所以很多地方不懂 需要實現的電路圖如下面的網址: http://imageshack.us/photo/my-images/828/ck13.jpg/ SIGNAL1 和SIGNAL2是輸入的訊號,兩顆MOS是用來當作開關 CK13 和 CK13-是用開控制開關的訊號,目的是要讓SINGAL1.2 分別在CK13 和CK13-輸出到VOUT, 是使用QUARTUS II作模擬,本來以為用內建的BLOCK就可以兜出來 不過後來這個部分沒有 MODEL可以叫,因此要採用VHDL來實現 目前我打得語法是: library ieee; use ieee.std_logic_1164.all; entity swich2 is port(sig1 : in std_logic; sig2 : in std_logic; ck13 : in std_logic; ck132 : in std_logic; vout : out std_logic); end swich2; architecture sig2 of swich2 is begin if ck13='1' then vout<= sig1; elsif ck132='1' then vout<= sig2; else vout<='0'; end if; end sig2; 請問是否有哪邊有問題呢? 沒有學過VHDL相關的課程= =~只能對著講義照感覺打@@ 謝謝囉!!! -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 140.114.23.67

12/14 04:37, , 1F
很像MUX
12/14 04:37, 1F

12/14 09:33, , 2F
這...過不了吧? syntax error
12/14 09:33, 2F

12/14 09:35, , 3F
if句好像要放process裡
12/14 09:35, 3F

12/14 09:36, , 4F
因為他是sequence
12/14 09:36, 4F

12/14 11:01, , 5F
是阿= =~過不了= =~請問在加上process(ck13,ck132)
12/14 11:01, 5F

12/14 11:02, , 6F
把IF包起來可以嗎= =?~不過這樣還是不能跑說@@
12/14 11:02, 6F

12/14 12:50, , 7F
已經出了可以實踐單顆MOS的FPGA嗎?
12/14 12:50, 7F

12/14 15:00, , 8F
我不是要實踐單顆MOS~只是希望能用FPGA兜出類似功能
12/14 15:00, 8F

12/14 15:01, , 9F
本來是要放在CHIP內部~不過掛了= =~所以尋求FPGA來解決
12/14 15:01, 9F

12/15 01:30, , 10F
請問signal是什麼訊號
12/15 01:30, 10F

12/15 01:30, , 11F
電壓之類的嗎?
12/15 01:30, 11F

12/15 01:31, , 12F
是說,這不是transmission gate嗎~
12/15 01:31, 12F

12/15 02:00, , 13F
Siganl是數位的電壓訊號
12/15 02:00, 13F

12/15 02:21, , 14F
對~這是兩個transmission gate~
12/15 02:21, 14F

12/15 03:17, , 15F
FPGA 裡是很難實現單顆MOS的功能的
12/15 03:17, 15F

12/15 03:17, , 16F
有的話也是用pass gate來達成 但這是在routing部份
12/15 03:17, 16F

12/15 03:18, , 17F
所以基本上很難做到這件事 而且公司也不會出這種產品
12/15 03:18, 17F

12/15 03:18, , 18F
吧 不過lattice的reconfigurable的function可以達到
12/15 03:18, 18F

12/15 03:21, , 19F
process XXX'event and =1
12/15 03:21, 19F

12/15 03:22, , 20F
=1可以不一定要 而且不一定能兩個event
12/15 03:22, 20F

12/15 03:24, , 21F
要我就會寫clk3'event
12/15 03:24, 21F

12/15 03:24, , 22F
下面寫clk3=1 時 XXX,clk3=0時 XXX
12/15 03:24, 22F

12/15 03:25, , 23F
這樣應該就沒問題了
12/15 03:25, 23F

12/15 12:06, , 24F
了解~~我再照您的方法試試看!謝謝囉!
12/15 12:06, 24F

12/15 16:51, , 25F
在確認一下數位電壓訊號意思只會有0v 3v兩種輸出?
12/15 16:51, 25F

12/15 17:01, , 26F
是的~0101的訊號輸出
12/15 17:01, 26F

12/15 21:37, , 27F
這功能等同mux吧@_@
12/15 21:37, 27F

12/15 21:58, , 28F
就是MUX啊!
12/15 21:58, 28F

12/15 22:13, , 29F
原來如此@@~~
12/15 22:13, 29F

08/13 19:20, , 30F
=1可以不一定要 而且 https://noxiv.com
08/13 19:20, 30F

09/17 23:14, , 31F
下面寫clk3=1 時 https://daxiv.com
09/17 23:14, 31F
文章代碼(AID): #1EvmfUS8 (Electronics)
文章代碼(AID): #1EvmfUS8 (Electronics)