[問題] verilog 與 spectre 如何 co-simulation?

看板Electronics作者 (阿熊)時間13年前 (2011/04/14 22:11), 編輯推噓4(404)
留言8則, 5人參與, 最新討論串1/2 (看更多)
我手邊有個用 Cadence Encounter 將 RTL 合成(還是稱轉?)出的電路, 是個 verilog 的檔案,附檔名是 .v 類比電路這邊,是用 Cadence Composer 所繪製而成。 也因為是 Composer 所繪,所以用 spectre 來 run 會比較方便(又稱偷懶 = =) 請問在 ADE 環境中,如何將 verilog 檔跟 spectre 作 Co-simulatin 呢? -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 111.251.198.209

04/15 07:37, , 1F
如果.v都出來了代表netlist OK 用命令列的方式 應該就
04/15 07:37, 1F

04/15 07:37, , 2F
可以了
04/15 07:37, 2F

04/15 08:02, , 3F
u need use config view to set ur digital to .v file
04/15 08:02, 3F

04/15 08:03, , 4F
and u need to change the simulator to ams from spectre
04/15 08:03, 4F

04/15 08:03, , 5F
spectre only support veriloga
04/15 08:03, 5F

04/15 09:51, , 6F
spectreVerilog 也可以,不過ams有gui比較好用
04/15 09:51, 6F

04/15 19:12, , 7F
樓上與樓樓上正解!
04/15 19:12, 7F

04/15 22:39, , 8F
大感謝! 明天去試試!
04/15 22:39, 8F
文章代碼(AID): #1Dfm1_Uk (Electronics)
文章代碼(AID): #1Dfm1_Uk (Electronics)