[問題] verilog有關輸入值未定時,assign輸出值消失

看板Electronics作者時間12年前 (2012/03/28 22:35), 編輯推噓0(000)
留言0則, 0人參與, 最新討論串1/2 (看更多)
文章代碼(AID): #1FSo6jE5 (Electronics)
文章代碼(AID): #1FSo6jE5 (Electronics)