[問題]請教Verilog 語法

看板Electronics作者 (雨天後的彩虹)時間15年前 (2008/10/23 21:45), 編輯推噓1(101)
留言2則, 2人參與, 最新討論串1/2 (看更多)
最近看到書上這個例題的其中一個段落: genvar i; generate for(i=0;i<n;i=i+1) begin:block1 if(~) assign example1 = ~~~~~ ; else assign example2 = ~~~~~ ; end endgenerate PS: ~~~~ = 略 key in 完後 HDL compiler 警告 conditionlity generate item 一定要在 named blok 內 指assign 這行敘述一定要在 named block 內 可是已經設好了 begin:block1 不是嗎? 還是這種寫法根本是不行的? 困惑中,請有經驗的幫忙解答 THX ^ ^ -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 203.70.88.135

10/23 22:51, , 1F
這樣寫可以合成嗎 ? 可以的話tool會怎麼合?
10/23 22:51, 1F

10/24 00:28, , 2F
哪一本書可以介紹一下嗎?..
10/24 00:28, 2F
文章代碼(AID): #1907_Sje (Electronics)
文章代碼(AID): #1907_Sje (Electronics)