[求救] 積電作業 HW3 verilog

看板NTUEE113HW作者 (湯米)時間12年前 (2011/12/13 22:52), 編輯推噓8(8010)
留言18則, 6人參與, 最新討論串1/1
我跑了 ncverilog +access+r tb_Comparator_51.v lib.v Comparator_51.v 這個指令後 出現了 The tool has encountered an unexpected condition and must exit. Contact Cadence Design Systems customer support about this problem and provide enough information to help us reproduce it, including the logfile that contains this error message. TOOL: ncsim 08.20-s024 HOSTNAME: cad32 OPERATING SYSTEM: SunOS 5.10 Generic_118833-24 sun4u MESSAGE: sv_seghandler - SIGSEGV while handling SIGSEGV System task: $fsdbDumpvars file: ./tb_Comparator_51.v line: 52 有哪位先進知道這該怎辦… 原本以為逃離資結就不會有 segmentation fault 了 結果連 verilog 都會 segmentation fault 是怎樣… QQQQ -- ╭═══╤═══╮ ╰═╮ ╭═╯ │ │ │╭═和平,土地,麵包═╮ │ │ │ ╭═╧╧╮╤═╤═╮═╤═╤╧╮ │ │ │ │ ││ │ │ │ │ │ ╰═╤═╯ │ │ ││ │ │ │ │ │ │ ╰╧╯╰═══╯╰ ╰ ╰ ╰ ╰ ╰ ─╯ -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 140.112.249.36

12/13 22:59, , 1F
今天問過助教了 答案是學校的工作站有問題 已經請人
12/13 22:59, 1F

12/13 23:00, , 2F
去修了 然後先把dump fsdb那兩行comment out掉 可以
12/13 23:00, 2F

12/13 23:00, , 3F
看自己結果是否寫對 但無法用nWave來debug很QQ
12/13 23:00, 3F

12/13 23:05, , 4F
噢噢!感謝 vincent !!
12/13 23:05, 4F

12/13 23:05, , 5F
請問你是用那一台工作站呢?
12/13 23:05, 5F

12/13 23:06, , 6F
cad32
12/13 23:06, 6F

12/13 23:06, , 7F
Congratulations! Your design passes all the test
12/13 23:06, 7F

12/13 23:06, , 8F
這樣就是過了嗎?@@
12/13 23:06, 8F

12/13 23:11, , 9F
我看過testbench,有些錯的情況他也給過
12/13 23:11, 9F

12/13 23:11, , 10F
所以用nWave檢查一下吧
12/13 23:11, 10F

12/13 23:12, , 11F
不過大部分的情況他都會檢查正確 :)
12/13 23:12, 11F

12/13 23:14, , 12F
剛剛看了一下我的 code 的確有錯…
12/13 23:14, 12F

12/14 15:02, , 13F
請問有人出現ncverilog: command not found的問題嗎?
12/14 15:02, 13F

12/14 15:03, , 14F
我有用cad32或33試 也有照ppt上source兩個檔案了
12/14 15:03, 14F

12/14 20:52, , 15F
跟樓上一樣的狀況,cad22 cad28 cad30~32都試過Orz
12/14 20:52, 15F

12/14 22:00, , 16F
cshrc_new好像是空白的跟別人要了一份放進去就可開了
12/14 22:00, 16F

12/14 23:12, , 17F
喔喔喔!! 好~馬上try try 感謝樓上!!
12/14 23:12, 17F

12/14 23:21, , 18F
真的好了! 原本的cshrc_new不知道啥時變成0byte了= =
12/14 23:21, 18F
文章代碼(AID): #1EvsQSUM (NTUEE113HW)