Fw: [問題] 各位大大請問這IC (300P)

看板NEMS作者 (下麵給妳吃)時間10年前 (2014/06/30 23:02), 編輯推噓0(000)
留言0則, 0人參與, 最新討論串1/1
※ [本文轉錄自 Electronics 看板 #1JhOe_So ] 作者: gauss5566 (下麵給妳吃) 看板: Electronics 標題: [問題] 各位大大請問這IC (300P) 時間: Fri Jun 27 23:14:04 2014 各位大大 這問題實在非常的玄 困擾許久 若解決願意以P必做為答謝!!! 首先我想測試74LS74這柯IC 然而這顆IC的DATASHEET在此http://ppt.cc/yY0G 主要是使用到用紅色框起來的地方 來達到這種效果http://ppt.cc/~nT6 CLK的上昇緣一處發 就檢測DATA的狀態 若DATA為高 則輸出為高 反之 而我手邊有兩台訊號產生器 我想模擬這種狀況http://ppt.cc/D5AG 但是我怎麼使用都達不到這個要求 覺得非常疑惑 有時候有量到訊號 但是跟CLK對不起來(照理說輸出要隨著CLK上升下降) 圖中上面有些是我差的邏輯分析儀 http://ppt.cc/3ajw 正負電也都有接 總之無法達到課本上那樣的狀態 希望各位大大幫我DEBUG 找了很多人問也沒解果 謝謝>< 不懂圖示可以站內信 P必我出500 希望大大幫幫忙!!! -- ※ 發信站: 批踢踢實業坊(ptt.cc), 來自: 218.161.96.199 ※ 文章網址: http://www.ptt.cc/bbs/Electronics/M.1403882047.A.732.html

06/28 01:49, , 1F
我...看不懂你要問什麼... 把你現有的波形 和 想要的波形
06/28 01:49, 1F

06/28 01:49, , 2F
都畫出來 應該會清楚得多
06/28 01:49, 2F

06/28 02:28, , 3F
單純的D型FF嗎? 你clk頻率給多少? 波形po上來吧!
06/28 02:28, 3F

06/28 02:38, , 4F
還有clk 高電位跟Vcc給幾V?
06/28 02:38, 4F

06/28 16:57, , 5F
http://ppt.cc/XQKA 示波器量到的可是CLK跟OUTPUT對不上
06/28 16:57, 5F

06/28 17:02, , 6F
http://ppt.cc/IBCu邏輯分析儀量測到的
06/28 17:02, 6F

06/28 17:03, , 7F
Vcc我給5.5V CLK給30KHZ
06/28 17:03, 7F

06/28 17:03, , 8F
訊號產生器我給方波
06/28 17:03, 8F

06/28 18:17, , 9F
先看一下 Vcc是不是真的有給到5V (或你用的5.5V)
06/28 18:17, 9F

06/28 18:18, , 10F
確定沒有被限流而拉不上去
06/28 18:18, 10F

06/28 18:18, , 11F
因為你示波器看到的output只有500mV
06/28 18:18, 11F

06/28 18:18, , 12F
datasheet看起來至少應該要有2.2V
06/28 18:18, 12F

06/28 18:20, , 13F
阿伯看來 你麵包板上的VCC與GND要接上一個.01UF陶瓷電容
06/28 18:20, 13F

06/28 18:24, , 14F
降低電源雜波干擾 在高速的波型變換一定要保證電源的穩
06/28 18:24, 14F

06/28 18:26, , 15F
定度 再者麵包板接點是否乾淨 導線是否百分之百接觸 IC
06/28 18:26, 15F

06/28 18:27, , 16F
腳位是否無氧化 ...
06/28 18:27, 16F

06/28 18:44, , 17F
LS系列的電源上限是5.25V 5.5V可以用在HC族群
06/28 18:44, 17F

06/28 18:48, , 18F
COMS的邏輯IC使用電源範圍較為寬廣 TTL的電源4.75~5.25
06/28 18:48, 18F

06/28 18:51, , 19F
5.5V有可能使TTL IC崩潰但不至擊穿 上IC TEST機器TRY看
06/28 18:51, 19F

06/28 20:49, , 20F
你用的是TI那顆嗎 那IC掛了吧 VIH不是只能給2V?
06/28 20:49, 20F

06/28 21:46, , 21F
ti part number會是SN吧
06/28 21:46, 21F

06/28 21:46, , 22F
你先確認CLK VIH VIL 有無達到規格標準
06/28 21:46, 22F

06/28 21:47, , 23F
再來 邏輯閘本來就有延遲時間 不完全會對著CLK上升下降
06/28 21:47, 23F

06/29 18:23, , 24F
這兩台訊號產生器跟該IC有共地(GND都接一起)嗎?
06/29 18:23, 24F

06/30 19:43, , 25F
有喔 可是沒用嗚嗚
06/30 19:43, 25F
※ 發信站: 批踢踢實業坊(ptt.cc) ※ 轉錄者: gauss5566 (218.161.96.200), 06/30/2014 23:02:46
文章代碼(AID): #1JiNmOy0 (NEMS)