[問題]verilog加密問題(已解決)

看板Electronics作者 (leemings)時間6年前 (2018/05/03 11:36), 編輯推噓4(405)
留言9則, 2人參與, 6年前最新討論串1/1
各位大大好, 小弟有個verilog的問題. 就是在verilog檔案中加入'protect和'endprotect後, 使用cadence 的verilog 加密, 指令如下: verilog file.v +protect 有加密成功, 但是使用ncvlog編輯卻失敗了, 請問有那位大大會嗎? 謝謝 ----- Sent from JPTT on my HTC_U-1u. -- ※ 發信站: 批踢踢實業坊(ptt.cc), 來自: 110.50.148.33 ※ 文章網址: https://www.ptt.cc/bbs/Electronics/M.1525318565.A.F21.html

05/03 11:56, 6年前 , 1F
+protect
05/03 11:56, 1F

05/03 11:57, 6年前 , 2F
然後你要用啥編輯? 再打開嗎?
05/03 11:57, 2F

05/03 11:59, 6年前 , 3F
你就不能再開了啊.....你的source code要另存啊
05/03 11:59, 3F

05/03 13:06, 6年前 , 4F
source有另外存, 加密檔是要給其它人使用ncsim做simulat
05/03 13:06, 4F

05/03 13:06, 6年前 , 5F
ion
05/03 13:06, 5F

05/03 21:41, 6年前 , 6F
那個人只能開著當ip core用囉
05/03 21:41, 6F

05/03 21:45, 6年前 , 7F
用法就是一扔進去當成一般verilog讀 compile就好
05/03 21:45, 7F

05/03 21:56, 6年前 , 8F
朋友已告知解決方法, 謝謝大家的建議
05/03 21:56, 8F

05/03 22:02, 6年前 , 9F
其實我比較想知道到底問題是什麼Q_Q
05/03 22:02, 9F
文章代碼(AID): #1QweEbyX (Electronics)