看板 [ ASM ]
討論串[問題] CF程式設計
共 2 篇文章
首頁
上一頁
1
下一頁
尾頁

推噓0(0推 0噓 0→)留言0則,0人參與, 最新作者GooLoo (古若)時間15年前 (2009/03/25 00:56), 編輯資訊
1
0
0
內容預覽:
今天老師丟給我一塊開發板. 要我用verilog將板子上的CF卡建起來. 就是把CF卡當作一般memory(SRAM,EEPROM....),如 : 寫ffff讀出來ffff而已. 我找到cf 4.0 spec.. 看了一下內容,以下是我的想法. 如果有錯請糾正一下. 1. 我要的功能可以用TRUE
(還有110個字)

推噓3(3推 0噓 17→)留言20則,0人參與, 最新作者GooLoo (古若)時間15年前 (2009/03/26 00:02), 編輯資訊
0
0
1
內容預覽:
小弟使用1MHz的clock去刻波型. http://kuso.cc/4vVl. 以上是我的波型,其中. cs1是always high. ATA_SEL 是always low. DMACK always high. 發現我寫入00001111 讀出00000000. 應該是沒寫入成功或讀取成功.
首頁
上一頁
1
下一頁
尾頁