[問題] verilog的問題

看板Programming作者時間16年前 (2009/04/10 10:55), 編輯推噓0(002)
留言2則, 1人參與, 最新討論串1/1
module f2 integer file1, file2; initial begin file1 = $fopen("file1"); file2 = $fopen("file2"); $display("The number used for file 1 is %0d", file1); $display("The number used for file 2 is %0d", file2); $fdisplay(file1, "Hello File 1"); $fdisplay(file2, "Hello File 2"); $fdisplay(file1 file2, "Hello both files"); $fdisplay(file1 file2 | 1, "Hello files and screen"); $fdisplay(file1, "Good Bye File 1"); $fdisplay(file2, "Good Bye File 2"); $fclose(file1); $fclose(file2); end endmodule 想請問file id的問題 1是保留給screen 及 log file使用 file1的id是2 file2的id為何是4(因為我以為是3 還是id是亂跳的) 程式來源 http://www.netlibrary.com/Reader/ Verilog Quickstart: A Practical Guide to Simulation and Synthesis in Verilog 點view the book後 在這本電子書的p52頁 -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 163.26.236.76 ※ 編輯: whisp1222 來自: 163.26.236.76 (04/10 19:01)

04/13 00:51, , 1F
$fopen沒有給mode會return multi-channel
04/13 00:51, 1F

04/13 00:51, , 2F
descriptor
04/13 00:51, 2F
文章代碼(AID): #19toMioT (Programming)