[作業] Verilog~

看板NTUEE107HW作者 (ZZZ)時間19年前 (2004/11/30 10:52), 編輯推噓0(110)
留言2則, 2人參與, 最新討論串1/1
科目:_____ 繳交日期:________ ============================================== 內容,格式如下: 用Active-HDL這個軟體 猜拳機設計 1.輸入:A方設定剪刀/石頭/布(A_GNEDAUA/_STONE/A_BOO),B方設定剪刀/石頭/布 (B_GENDAU/B_STONE/B_BOO,開始設定A,B方的猜拳輸贏設定(CHECK) 2.輸出:A,B平手輸出線(ABEQU).A贏出線(A_WIN),B贏輸出線(B_WIN),尚未裁定或 設定有誤輸出線(ERROR) 3.功能:A,B方都設定好剪刀/石頭/布之一後,使CHECK:1,A,B的猜拳輸贏結果將使 (ABEQU;A_WIN,B_WIN)之一為一若CHECK:0或設定有誤,則ERROR輸出 幫一下忙吧~各位大大 -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 61.216.68.55

203.70.178.9 11/30, , 1F
這......
203.70.178.9 11/30, 1F

140.112.244.158 11/30, , 2F
你以為我們都閒著沒事幹嗎??
140.112.244.158 11/30, 2F
文章代碼(AID): #11g-2ABK (NTUEE107HW)