[公佈] 關於error-> ($fsdbDumpfile) not defined

看板ICDESIGN作者 (TA)時間13年前 (2010/12/24 00:03), 編輯推噓0(000)
留言0則, 0人參與, 最新討論串1/1
你們在執行 verilog testbench_ppl.v DOQE_ppl.v lib.v時 可能會出現下面這兩個errors Error! Task or function ($fsdbDumpfile) not defined [Verilog-TOFD] "testbench_ppl.v", 53: Error! Task or function ($fsdbDumpvars) not defined [Verilog-TOFD] "testbench_ppl.v", 54: 這似乎是231工作站某幾台的問題 你們可以參考 http://cad.ee.ntu.edu.tw/ws_list.htm 選用 Solaris的工作站(EX: cad21...) 這樣執行上應該就不會有問題了!!! -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 140.112.17.232
文章代碼(AID): #1D4tBeiI (ICDESIGN)