討論串[心得] 用Verilog/SystemVerilog計算log2
共 2 篇文章
首頁
上一頁
1
下一頁
尾頁

推噓0(0推 0噓 1→)留言1則,0人參與, 最新作者zxvc (眾生都是未來佛)時間14年前 (2010/06/18 06:07), 編輯資訊
0
0
2
內容預覽:
寫HDL以來一直有個困擾,就是log2計算很常見,. 例如8-to-1多工器的選擇訊號是log2(8) = 3,. 如果不能計算log2,很多電路就很難寫得一般化。. Verilog是有定義log2的function,叫作$clog2,. Design Compiler有支援,但Xilinx XST
(還有801個字)

推噓0(0推 0噓 5→)留言5則,0人參與, 最新作者zxvc (眾生都是未來佛)時間14年前 (2010/06/18 14:00), 編輯資訊
0
0
1
內容預覽:
09:5. 謝謝提醒,的確怪怪的。. 這種寫法:. for (log2=0; value>0; log2=log2+1). value = value>>1;. 會造成log2(8) = 4。. 如果我們希望log2(8) = log2(7) = log2(6) = log2(5) = 3的話。.
(還有284個字)
首頁
上一頁
1
下一頁
尾頁