[問題] 請教verilog pulse產生個數問題

看板Electronics作者 (bradwu)時間7年前 (2017/01/11 14:59), 編輯推噓1(101)
留言2則, 2人參與, 最新討論串1/1
找不到專門的版所以發在這裡詢問高手 以下是我的code: module rising_pwm_10bit(clk,rst_n,iduty,opwm); input clk,rst_n; input [9:0]iduty; output reg opwm; reg [9:0]count; reg [9:0]duty; always@(negedge rst_n or posedge clk) begin if (!rst_n) count = 0; else begin count = count + 1; if (count == 0) duty = iduty; end end always@(posedge clk) begin if(duty==0) opwm = 0; else if(duty >= count) opwm = 1 ; else opwm = 0; end endmodule 想請問一下如果想要只產生指定個數個pulse(例如:100個)要如何做呢 新手多多包含謝謝~ -- ※ 發信站: 批踢踢實業坊(ptt.cc), 來自: 223.137.139.126 ※ 文章網址: https://www.ptt.cc/bbs/Electronics/M.1484117993.A.54F.html

01/11 15:40, , 1F
再另外用reg,正緣用opwm
01/11 15:40, 1F

01/11 18:04, , 2F
謝謝你 我用出來了感恩
01/11 18:04, 2F
文章代碼(AID): #1OTTVfLF (Electronics)