[問題] 關於synthesis 以及 CTS問題

看板Electronics作者 (非人哉)時間8年前 (2015/10/20 01:36), 8年前編輯推噓0(005)
留言5則, 1人參與, 最新討論串1/1
各位先輩好! 小弟對於synthesis只是一知半解 有一些疑惑想請教,如果觀念或是哪裡有錯誤請大力鞭下去 我在syhthesis script 中 將clock 訊號設置屬性 set_dont_touch_network 所以clock的路徑上不會被做任何優化或取代cell 而這clock訊號是經過一個IO PAD 從外部進來到core circuit 所以這個IO PAD的輸出端(CLK) fanout 數量很多 當我完成synthesis且timing report slack 足夠 接著產生出sdf檔案,並annotate到模擬中 卻發現該IO PAD的path delay非常的大 (2xx ns) 其他相同類型的IO PAD 正常值為1.xx ns 我在想CLK IO PAD的delay會大是因為fanout太多推不動 我想要修正這問題是需要CTS 不過據我所知 CTS是在APR才產生? 這樣我synthesis完成後跑模擬是否為非必要也沒意義? 先謝謝大家了 PS: 目前我的解決方法是將SDF中 CLK IO PAD的 delay改成0 (理想) 模擬則可以PASS -- ※ 發信站: 批踢踢實業坊(ptt.cc), 來自: 36.227.107.168 ※ 文章網址: https://www.ptt.cc/bbs/Electronics/M.1445276200.A.2E5.html ※ 編輯: yuxike (36.227.107.168), 10/20/2015 02:07:50

10/20 06:40, , 1F
你如果加了IO Pad 那就沒差 CTS是在APR產生沒錯
10/20 06:40, 1F

10/20 06:42, , 2F
基本上 這時會對clock tree下set_ideal_network
10/20 06:42, 2F

10/20 06:43, , 3F
你的想法是對的
10/20 06:43, 3F

10/20 06:52, , 4F
至於跑模擬有沒有意義 真要說的話 DRC LVS解決後抽RC跑才
10/20 06:52, 4F

10/20 06:52, , 5F
真的有"意義"
10/20 06:52, 5F
感謝前輩解惑!! 因CLK IO PAD輸出pin 有另一隻enable腳位控制 導致ideal屬性沒有延伸下去 後來直接將CLK輸出pin也下ideal即可忽略fanout問題了 ※ 編輯: yuxike (42.75.187.137), 10/20/2015 09:29:16
文章代碼(AID): #1M9IeeBb (Electronics)