[問題] 產生方波

看板Electronics作者 (約翰跑路)時間12年前 (2012/04/25 15:01), 編輯推噓7(7011)
留言18則, 9人參與, 最新討論串1/1
我需要產生從3000Hz到5000Hz的50%duty cycle的方波 必須要很精準,不能誤差超過10Hz 嘗試用555 IC來做,但是頻率對R1 R2 C1很敏感 想過用 SCHMITT TRIGGER 但是應該也是對電阻很敏感 有沒有建議使用的IC,有關於數位信號產生的 或是有更好的解法? 謝謝 -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 140.115.71.171

04/25 15:14, , 1F
MCU吧.. 不然就用 貨幣交流技術
04/25 15:14, 1F

04/25 16:57, , 2F
我之前問過 最後我用PSOC寫的 我是寫500Hz 可調duty 1~99%
04/25 16:57, 2F

04/25 16:57, , 3F
出來頻率大概在506~508左右
04/25 16:57, 3F

04/25 16:58, , 4F
寫法方面很簡單 幾乎都PSOC原生的 只是叫出來而已
04/25 16:58, 4F

04/25 16:59, , 5F
我那產生500Hz誤差大概是這樣 再上去不清楚
04/25 16:59, 5F

04/25 17:00, , 6F
他有提到更精準用法 但需要用外部震盪去用 不過我還淺:p...
04/25 17:00, 6F

04/25 18:29, , 7F
mcu+1 我曾經有跟你相同的應用要求,你這兩個方案我都搞
04/25 18:29, 7F

04/25 18:29, , 8F
過,不可能做得準
04/25 18:29, 8F

04/25 19:35, , 9F
FPGA應該搞得定
04/25 19:35, 9F

04/26 00:59, , 10F
CPLD感覺好像也可以~
04/26 00:59, 10F

04/26 02:47, , 11F
3K~5K 用MCU來做 純粹抖方波 時間算準一點
04/26 02:47, 11F

04/26 02:48, , 12F
要準應該不難 剩下的就看crystal多準了
04/26 02:48, 12F

04/27 21:36, , 13F
AD9834? very cheap~
04/27 21:36, 13F

04/28 23:38, , 14F
感謝樓上各位大大,我會認真研讀AD9834,我打算先利用
04/28 23:38, 14F

04/28 23:39, , 15F
arduino來產生,誤差不大,uno版可以在10HZ以內
04/28 23:39, 15F

05/10 22:06, , 16F
用PWM或timer
05/10 22:06, 16F

08/13 19:25, , 17F
CPLD感覺好像也可以 https://muxiv.com
08/13 19:25, 17F

09/17 23:19, , 18F
FPGA應該搞得定 https://daxiv.com
09/17 23:19, 18F
文章代碼(AID): #1Fbw4qEG (Electronics)