[請益] 用Verilog在七段顯示器上顯示目前位置

看板Electronics作者 (Dinex)時間13年前 (2011/06/26 02:00), 編輯推噓3(306)
留言9則, 6人參與, 最新討論串1/1
很感謝各位大大之前的拔刀相助,讓本人受益許多 這次比較不太像是debug的問題,而是想請問各位大大假設由四個一亂數排列組成的 八位數(例如11000011,10101100)現在要設計一個七段顯示器,要在同一個七段顯示器 位數上面輪流顯示此一所在的位置。由於要求"連續且固定頻率變化顯示",因此中間夾雜 數字零的地方變成了很難跳過的部分。請問大大們是否能提供些指引或方向。感激不盡! -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 118.160.190.178

06/26 08:37, , 1F
2^0->2^1->2^2...->2^7->2^0...這樣嗎?
06/26 08:37, 1F

06/26 10:44, , 2F
以第一個數字為例,要在七段顯示器的某一位數依時間順序顯示
06/26 10:44, 2F

06/26 10:45, , 3F
1所在位置並重複循環(1>2>7>8>1>2>7>8>....)
06/26 10:45, 3F

06/26 22:15, , 4F
請問 重複循環的速度要多快? 跟系統clock一樣快嗎?
06/26 22:15, 4F

06/27 00:06, , 5F
可以弄8個latch 有1的地方就灌那個位置的數字 0就灌極大值
06/27 00:06, 5F

06/27 00:07, , 6F
然後做排序 接著再用個counter當輸入的多工器拉出每位數字
06/27 00:07, 6F

06/29 01:12, , 7F
state: 1.read rand 2. convert to 1.2.7.8 3. output
06/29 01:12, 7F

06/30 02:36, , 8F
用四對一 8位元多工器 選擇線+2bit計數器
06/30 02:36, 8F

06/30 02:37, , 9F
至於要多快的話看你拿系統clock除頻除多少
06/30 02:37, 9F
文章代碼(AID): #1E1Y8XvU (Electronics)