[問題] 請問VHDL的ARRAY加總的方法

看板Electronics作者 (cloudking)時間14年前 (2010/03/18 10:45), 編輯推噓0(000)
留言0則, 0人參與, 最新討論串1/1
※ [本文轉錄自 Programming 看板] 作者: ga006736 (cloudking) 站內: Programming 標題: [問題] 請問VHDL的ARRAY加總的方法 時間: Thu Mar 18 10:44:35 2010 目前我array要存相當多的值,最後輸出需要這些值的相加。 請問是否有什麼方法可以直接把array內的值加總呢? 一個一個輸入實在是太多了... -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 203.68.164.75 -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 203.68.164.75
文章代碼(AID): #1BePEyAC (Electronics)