[評價] 99-2 陳耀銘 電力電子概論

看板EE_Comment作者 (馬克羅貝斯小徑)時間13年前 (2011/07/08 10:42), 編輯推噓8(8023)
留言31則, 7人參與, 最新討論串1/1
課程內容: 這門課是對於電力電子做一個概略性的介紹 內容大致如下: 被動元件的概述(電阻電容的數值判讀,種類,還有導線的規格等等) 開關(計算開關時的能量損失,怎樣設計可以讓能量損傷減少等等) 各種電能間的轉換(直流-直流,直流-交流,交流-交流,交流-直流) 上述電能轉換電路的閉控制設計(比例控制,PWM,SPWM) 介紹了一些驅動IC 直流電的的升降壓電路和直流電轉交流電是這門課的重點 各電路的基本架構都有完整的說明 很多更複雜的設計也是這些基本電路組合而成的 所以這堂課對於瞭解更複雜的設計很有幫助 參考用書: Issa Batarseh, Power Electronic Circuits, John Wiley and Sons, 2004. 雖然有課本,不過可以不用買,完全用不到,上課以老師印的投影片為主 老師印的投影片會挖空(感謝zeroshine補充) 先修課程: 電子一 電路學 成績比重: 作業: 25% 出席率: 10% 期中考: 25% 期末考: 25% 期末報告:15% 這堂課每堂都點名,所以常缺課的同學要審慎考量 期中考和期末考前教授都會提示重點,甚至期中考時還有帶同學看過一次考古題 但是考古題沒有外流,也就是說只會在課堂上講過一次 作業內容: 五次作業包含期末報告全都是模擬作業 使用的是有點難用的SIMPLIS Intro版(也就是試用版),需要一點時間駕馭它 教授開放大家使用其他的軟體(PSPICE HSPICE亦可) 不過基於SIMPLIS有極為友善的理想元件,大家還是用SIMPLIS模擬 (裡面的OP的參數可以隨你調,連理想的二極體和開關都有) 五次作業有四次是模擬直流對直流的轉換器,最後一次是直流對交流的換流器: 作業一: Open-loop Buck Converter 作業二: Closed-loop Buck Converter 作業三: Closed-loop Forward Converter 作業四: Closed-loop Push-pull Converter 作業五: Open-loop Inverter 作業內容都不難,難都是難在軟體操作XD 出了作業後,助教也會開放時段給大家問問題,教授也很樂意解答 所以完全不用怕做不出來 只要依照教授給的題目 將決定元件數值的計算式列一列(講義上都有) 然後模擬過後的波型圖貼上去並標註一些說明即可 期末報告是作業五的延伸,改成閉迴路版本 期末考前要做一次上台的口頭報告,期末考後要交一份論文格式的書面報告 以期末作業的標準來看,難度算是中間偏易 我個人是被Intro版的元件上限卡的很慘 有一陣子都不是在想怎樣達成題目要求,而是是在想怎樣可以減少元件讓模擬可以繼續XD 上台報告的時候就把做的成果給展現出來 這個時候十個有五個人做錯,所以不用給自己太大壓力 當觀眾時的提問也算入分數 上台報告結束後可以回去修改自己的電路,寫在書面報告上 寫書面報告時間相當充裕,不用擔心會趕到瘋掉 心得: 教授人很好,上課時很認真,下課回答問題也很認真 有時候上課恍神,下課再去問,他還是不厭其煩地重講了一次 推薦對電力電子有興趣,或是對電路還有一絲興趣的同學來修 難度適中,又可以看到很多有趣的電路設計 (討厭看到MOS的人也可以來沒關係,這堂課沒幾顆MOS,多半是用作開關而已) -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 114.25.241.12 ※ 編輯: macrobase 來自: 114.25.241.12 (07/08 10:45)

07/08 10:48, , 1F
幫推
07/08 10:48, 1F

07/08 20:10, , 2F
推強者
07/08 20:10, 2F

07/08 21:35, , 3F
原PO強者
07/08 21:35, 3F

07/09 10:06, , 4F
~"~,奇怪,明明就還好,倒是二樓可以寫一下類積電的心得
07/09 10:06, 4F

07/10 14:32, , 5F
不行我太混了XD
07/10 14:32, 5F

07/12 01:06, , 6F
補充一下 上課投影片會挖空 半強迫式抄筆記
07/12 01:06, 6F

07/12 01:07, , 7F
考試需要記憶的東西頗多 隔離非隔離電路很多種
07/12 01:07, 7F

07/12 01:08, , 8F
但是你認為不重要的包括電阻電容色碼以及誤差考不少
07/12 01:08, 8F

07/12 01:19, , 9F
電力電子的重點元件我想不是放在MOS上 而是放在電感
07/12 01:19, 9F

07/12 01:19, , 10F
電容 二極體的設計上
07/12 01:19, 10F

07/12 14:11, , 11F
我沒有覺得那些不重要喔,只是都不難,所以沒特別提
07/12 14:11, 11F

07/12 14:11, , 12F
老師考前也會講說會考,所以不用在這裡講沒關係
07/12 14:11, 12F

07/12 14:12, , 13F
至於投影片挖空我還真的忘記寫上去了,感謝補充
07/12 14:12, 13F

07/12 14:14, , 14F
我會加那句是因為我現在很討厭看到MOS XDDD
07/12 14:14, 14F
※ 編輯: macrobase 來自: 114.25.210.96 (07/12 14:16)

07/12 19:34, , 15F
喔我說的"你"認為不重要的你是指我啦 每次都背不起來XD
07/12 19:34, 15F

07/13 00:52, , 16F
神妙的誤會XD
07/13 00:52, 16F

07/13 17:28, , 17F
我去年修的 我沒有覺得很簡單啊 助教有開放時間喔?
07/13 17:28, 17F

07/13 17:29, , 18F
我有一次模擬作業跑幾百次的經驗 一直在改參數= =
07/13 17:29, 18F

07/13 17:31, , 19F
我一開始有寄信問過教授 不知道是不是太頻繁(一周兩次)
07/13 17:31, 19F

07/13 17:32, , 20F
教授的語氣有點不耐煩 後來我就不問了
07/13 17:32, 20F

07/13 17:33, , 21F
去年呈現 30人只有我和另外一位同學未完成 壓力超大
07/13 17:33, 21F

07/13 17:36, , 22F
書面報告的格式有點機車就是...
07/13 17:36, 22F

07/13 23:19, , 23F
今年的助教應該是跟你同一年修的,每次都有開放時間
07/13 23:19, 23F

07/13 23:20, , 24F
模擬作業的話我都是問助教,只有期末報告才問了教授兩次
07/13 23:20, 24F

07/13 23:20, , 25F
加起來問不到五次就是了
07/13 23:20, 25F

07/13 23:22, , 26F
書面報告格式很機車...不過做完看起來還滿賞心悅目的XD
07/13 23:22, 26F

07/13 23:39, , 27F
附註:我是用SIMPLIS,之前用SIMetrix遇到很多問題
07/13 23:39, 27F

07/13 23:39, , 28F
但是相對地SIMPLIS的元件上限也較少,所以期末就有點辛苦
07/13 23:39, 28F

06/16 23:28, , 29F
這邊推一下 希望能幫到後來的學弟妹
06/16 23:28, 29F

06/16 23:28, , 30F
模擬軟體網路上有破解版 就沒有元件限制
06/16 23:28, 30F

06/16 23:29, , 31F
這一點到作業4作業5很重要
06/16 23:29, 31F
文章代碼(AID): #1E5cwpsR (EE_Comment)